[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] vhdl question



-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

put an OR gate and condition this for start to stay high, perhaps?
example:

- ---cut here---

signal mid : std_logic;

mid <= start OR clk;
start <= '1' when mid='1' and addr(7 downto 0)="11111111" else '0';

- ---cut here---

keep start to '1' as many cycles you need to.
regards,
spyros

Saumil Merchant wrote:
 > Hey guys,
 > I had a question. I have a combinational statement in VHDL as given 
below.
 >
 > start <= '1' when read='1' and addr(7 downto 0)="11111111" else '0';
 >
 > Now what happens here is that read goes high only for one clock cycle
 > and hence so does start. How can I make start stay high for 2 or more
 > clock cycles and then go low ?
 >
 > -----------------------------------------------------------------
 > Saumil Merchant
 > University of Tennessee
 > http://web.utk.edu/~smerchan
 > -----------------------------------------------------------------


- --

student @ D.U.TH.

pub-key: http://dalab.ee.duth.gr/~spyros/my_pub.asc


-----BEGIN PGP SIGNATURE-----
Version: PGP 8.0 - not licensed for commercial use: www.pgp.com

iQEVAwUBPvLNkz2QMCA0LA8jAQJhTAf/T+RYIip0UEIkir0trcYW6di9cEkUMBiL
t3sZwl8Vh8DIS82EJONR1zeIOfqaiKQ5ecKch/fd+ihkZkb89FtJyhmynwH2gjD5
iN8b/ulrhRjbLIKiWzWpuhJIuP77TmYbemZgRUCCcC6GL13mDdBEzFrwF3rMGPlx
7opgV7QGf7UO3MVBfxdd05bjZFr/pyQZIArdfzO3ODy47c5G5CeHAwJg4LvpoRg6
stTu+p+TLxq8sw3AJ5hwhVyFrCRJw5fK5w3KAa+y5xO1AWRPl4P7wEqDOIdm+I+C
qcOtrh88eHQvBq3JlS4i/yba9p9sybYxlXk6bEJxg4SQ9Nv98P+eHA==
=Omid
-----END PGP SIGNATURE-----


_____________________________________________________________________
http://www.freemail.gr - &dgr;&ohgr;&rgr;&egr;&aacgr;&ngr; &ugr;&pgr;&eegr;&rgr;&egr;&sgr;&iacgr;&agr; &eegr;&lgr;&egr;&kgr;&tgr;&rgr;&ogr;&ngr;&igr;&kgr;&ogr;&uacgr; &tgr;&agr;&khgr;&ugr;&dgr;&rgr;&ogr;&mgr;&egr;&iacgr;&ogr;&ugr;.
http://www.freemail.gr - free email service for the Greek-speaking.
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml