[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] vhdl function



Why don't you use conv_std_logic_vector from

IEEE.STD_LOGIC_ARITH.ALL or 
IEEE.STD_LOGIC_UNSIGNED.ALL ?

On 8 Oct 2002 at 3:46, ckh827@hotmail.com wrote:

> 
> I need a VHDL function that can convert positive or negative integer
> to a bit_vector.  I can only find one that works with positive integer
> only.  Could somebody help me?  I need it right away. thank you very much.
> 
> ken
> --
> To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml
> 


--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml