[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[cvs-checkins] or1k/xess/xsv_fpga/orp_soc/lib README xilinx/c ...



CVSROOT:	/home/oc/cvs
Module name:	or1k
Changes by:	lampret	02/03/28 21:15:32

Added files:
	xess/xsv_fpga/orp_soc/lib: README 
	xess/xsv_fpga/orp_soc/lib/xilinx/coregen: coregen.prj 
	                                          coregen_lock 
	                                          fifo_4095_16.asy 
	                                          fifo_4095_16.edn 
	                                          fifo_4095_16.veo 
	                                          fifo_4095_16.xco 
	xess/xsv_fpga/orp_soc/lib/xilinx/unisims: AND12.v AND16.v AND2.v 
	                                          AND2B1.v AND2B2.v 
	                                          AND3.v AND3B1.v 
	                                          AND3B2.v AND3B3.v 
	                                          AND4.v AND4B1.v 
	                                          AND4B2.v AND4B3.v 
	                                          AND4B4.v AND5.v 
	                                          AND5B1.v AND5B2.v 
	                                          AND5B3.v AND5B4.v 
	                                          AND5B5.v BSCAN.v 
	                                          BSCAN_SPARTAN2.v 
	                                          BSCAN_VIRTEX.v 
	                                          BSCAN_VIRTEX2.v BUF.v 
	                                          BUFCF.v BUFE.v 
	                                          BUFFCLK.v BUFG.v 
	                                          BUFGDLL.v BUFGE.v 
	                                          BUFGE_F.v BUFGLS.v 
	                                          BUFGLS_F.v BUFGMUX.v 
	                                          BUFGMUX_1.v BUFGP.v 
	                                          BUFGP_F.v BUFGS.v 
	                                          BUFGS_F.v BUFG_F.v 
	                                          BUFT.v 
	                                          CAPTURE_SPARTAN2.v 
	                                          CAPTURE_VIRTEX.v 
	                                          CAPTURE_VIRTEX2.v 
	                                          CLKDLL.v CLKDLLE.v 
	                                          CLKDLLHF.v CONFIG.v 
	                                          CY4.v CY4_01.v 
	                                          CY4_02.v CY4_03.v 
	                                          CY4_04.v CY4_05.v 
	                                          CY4_06.v CY4_07.v 
	                                          CY4_08.v CY4_09.v 
	                                          CY4_10.v CY4_11.v 
	                                          CY4_12.v CY4_13.v 
	                                          CY4_14.v CY4_15.v 
	                                          CY4_16.v CY4_17.v 
	                                          CY4_18.v CY4_19.v 
	                                          CY4_20.v CY4_21.v 
	                                          CY4_22.v CY4_23.v 
	                                          CY4_24.v CY4_25.v 
	                                          CY4_26.v CY4_27.v 
	                                          CY4_28.v CY4_29.v 
	                                          CY4_30.v CY4_31.v 
	                                          CY4_32.v CY4_33.v 
	                                          CY4_34.v CY4_35.v 
	                                          CY4_36.v CY4_37.v 
	                                          CY4_38.v CY4_39.v 
	                                          CY4_40.v CY4_41.v 
	                                          CY4_42.v CY4_43.v 
	                                          C_FLAG.v DCM.v 
	                                          DECODE16.v 
	                                          DECODE1_INT.v 
	                                          DECODE1_IO.v DECODE4.v 
	                                          DECODE8.v FD.v FDC.v 
	                                          FDCE.v FDCE_1.v FDCP.v 
	                                          FDCPE.v FDCPE_1.v 
	                                          FDCP_1.v FDC_1.v 
	                                          FDDRCPE.v FDDRRSE.v 
	                                          FDE.v FDE_1.v FDP.v 
	                                          FDPE.v FDPE_1.v 
	                                          FDP_1.v FDR.v FDRE.v 
	                                          FDRE_1.v FDRS.v 
	                                          FDRSE.v FDRSE_1.v 
	                                          FDRS_1.v FDR_1.v FDS.v 
	                                          FDSE.v FDSE_1.v 
	                                          FDS_1.v FD_1.v FMAP.v 
	                                          FMAP_PLC.v FMAP_PLO.v 
	                                          FMAP_PUC.v FMAP_PUO.v 
	                                          GND.v HMAP.v 
	                                          HMAP_PUC.v IBUF.v 
	                                          IBUFDS.v 
	                                          IBUFDS_BLVDS_25.v 
	                                          IBUFDS_LDT_25.v 
	                                          IBUFDS_LVDSEXT_25.v 
	                                          IBUFDS_LVDSEXT_33.v 
	                                          IBUFDS_LVDS_25.v 
	                                          IBUFDS_LVDS_33.v 
	                                          IBUFDS_LVPECL_33.v 
	                                          IBUFDS_ULVDS_25.v 
	                                          IBUFG.v IBUFGDS.v 
	                                          IBUFGDS_BLVDS_25.v 
	                                          IBUFGDS_LDT_25.v 
	                                          IBUFGDS_LVDSEXT_25.v 
	                                          IBUFGDS_LVDSEXT_33.v 
	                                          IBUFGDS_LVDS_25.v 
	                                          IBUFGDS_LVDS_33.v 
	                                          IBUFGDS_LVPECL_33.v 
	                                          IBUFGDS_ULVDS_25.v 
	                                          IBUFG_AGP.v 
	                                          IBUFG_CTT.v 
	                                          IBUFG_GTL.v 
	                                          IBUFG_GTLP.v 
	                                          IBUFG_GTLP_DCI.v 
	                                          IBUFG_GTL_DCI.v 
	                                          IBUFG_HSTL_I.v 
	                                          IBUFG_HSTL_II.v 
	                                          IBUFG_HSTL_III.v 
	                                          IBUFG_HSTL_III_DCI.v 
	                                          IBUFG_HSTL_II_DCI.v 
	                                          IBUFG_HSTL_IV.v 
	                                          IBUFG_HSTL_IV_DCI.v 
	                                          IBUFG_HSTL_I_DCI.v 
	                                          IBUFG_LVCMOS15.v 
	                                          IBUFG_LVCMOS18.v 
	                                          IBUFG_LVCMOS2.v 
	                                          IBUFG_LVCMOS25.v 
	                                          IBUFG_LVCMOS33.v 
	                                          IBUFG_LVDCI_15.v 
	                                          IBUFG_LVDCI_18.v 
	                                          IBUFG_LVDCI_25.v 
	                                          IBUFG_LVDCI_33.v 
	                                          IBUFG_LVDCI_DV2_15.v 
	                                          IBUFG_LVDCI_DV2_18.v 
	                                          IBUFG_LVDCI_DV2_25.v 
	                                          IBUFG_LVDCI_DV2_33.v 
	                                          IBUFG_LVDS.v 
	                                          IBUFG_LVPECL.v 
	                                          IBUFG_LVTTL.v 
	                                          IBUFG_PCI33_3.v 
	                                          IBUFG_PCI33_5.v 
	                                          IBUFG_PCI66_3.v 
	                                          IBUFG_PCIX.v 
	                                          IBUFG_PCIX66_3.v 
	                                          IBUFG_SSTL2_I.v 
	                                          IBUFG_SSTL2_II.v 
	                                          IBUFG_SSTL2_II_DCI.v 
	                                          IBUFG_SSTL2_I_DCI.v 
	                                          IBUFG_SSTL3_I.v 
	                                          IBUFG_SSTL3_II.v 
	                                          IBUFG_SSTL3_II_DCI.v 
	                                          IBUFG_SSTL3_I_DCI.v 
	                                          IBUFN.v IBUF_AGP.v 
	                                          IBUF_CTT.v IBUF_GTL.v 
	                                          IBUF_GTLP.v 
	                                          IBUF_GTLP_DCI.v 
	                                          IBUF_GTL_DCI.v 
	                                          IBUF_HSTL_I.v 
	                                          IBUF_HSTL_II.v 
	                                          IBUF_HSTL_III.v 
	                                          IBUF_HSTL_III_DCI.v 
	                                          IBUF_HSTL_II_DCI.v 
	                                          IBUF_HSTL_IV.v 
	                                          IBUF_HSTL_IV_DCI.v 
	                                          IBUF_HSTL_I_DCI.v 
	                                          IBUF_LVCMOS15.v 
	                                          IBUF_LVCMOS18.v 
	                                          IBUF_LVCMOS2.v 
	                                          IBUF_LVCMOS25.v 
	                                          IBUF_LVCMOS33.v 
	                                          IBUF_LVDCI_15.v 
	                                          IBUF_LVDCI_18.v 
	                                          IBUF_LVDCI_25.v 
	                                          IBUF_LVDCI_33.v 
	                                          IBUF_LVDCI_DV2_15.v 
	                                          IBUF_LVDCI_DV2_18.v 
	                                          IBUF_LVDCI_DV2_25.v 
	                                          IBUF_LVDCI_DV2_33.v 
	                                          IBUF_LVDS.v 
	                                          IBUF_LVPECL.v 
	                                          IBUF_LVTTL.v 
	                                          IBUF_PCI33_3.v 
	                                          IBUF_PCI33_5.v 
	                                          IBUF_PCI66_3.v 
	                                          IBUF_PCIX.v 
	                                          IBUF_PCIX66_3.v 
	                                          IBUF_SSTL2_I.v 
	                                          IBUF_SSTL2_II.v 
	                                          IBUF_SSTL2_II_DCI.v 
	                                          IBUF_SSTL2_I_DCI.v 
	                                          IBUF_SSTL3_I.v 
	                                          IBUF_SSTL3_II.v 
	                                          IBUF_SSTL3_II_DCI.v 
	                                          IBUF_SSTL3_I_DCI.v 
	                                          IBUF_U.v 
	                                          ICAP_VIRTEX2.v IFD.v 
	                                          IFDI.v IFDI_F.v 
	                                          IFDI_M.v IFDI_U.v 
	                                          IFDX.v IFDXI.v 
	                                          IFDXI_F.v IFDXI_M.v 
	                                          IFDXI_U.v IFDX_F.v 
	                                          IFDX_M.v IFDX_U.v 
	                                          IFD_F.v IFD_M.v 
	                                          IFD_U.v ILDI_1.v 
	                                          ILDI_1F.v ILDI_1M.v 
	                                          ILDI_1U.v ILDXI_1.v 
	                                          ILDXI_1F.v ILDXI_1M.v 
	                                          ILDXI_1U.v ILDX_1.v 
	                                          ILDX_1F.v ILDX_1M.v 
	                                          ILDX_1U.v ILD_1.v 
	                                          ILD_1F.v ILD_1M.v 
	                                          ILD_1U.v ILFFX.v 
	                                          ILFFXI.v ILFFXI_F.v 
	                                          ILFFXI_M.v ILFFX_F.v 
	                                          ILFFX_M.v ILFLX.v 
	                                          ILFLXI_1.v ILFLXI_1F.v 
	                                          ILFLXI_1M.v ILFLX_1.v 
	                                          ILFLX_1F.v ILFLX_1M.v 
	                                          ILFLX_F.v ILFLX_M.v 
	                                          INV.v IOBUF.v IOBUFD.v 
	                                          IOBUFDN.v IOBUFDN_24.v 
	                                          IOBUFDN_F.v 
	                                          IOBUFDN_F_24.v 
	                                          IOBUFDN_S.v 
	                                          IOBUFDN_S_24.v 
	                                          IOBUFD_24.v IOBUFD_F.v 
	                                          IOBUFD_F_24.v 
	                                          IOBUFD_S.v 
	                                          IOBUFD_S_24.v IOBUFN.v 
	                                          IOBUFND.v IOBUFNDN.v 
	                                          IOBUFNDN_24.v 
	                                          IOBUFNDN_F.v 
	                                          IOBUFNDN_F_24.v 
	                                          IOBUFNDN_S.v 
	                                          IOBUFNDN_S_24.v 
	                                          IOBUFND_24.v 
	                                          IOBUFND_F.v 
	                                          IOBUFND_F_24.v 
	                                          IOBUFND_S.v 
	                                          IOBUFND_S_24.v 
	                                          IOBUFNN.v IOBUFNN_24.v 
	                                          IOBUFNN_F.v 
	                                          IOBUFNN_F_24.v 
	                                          IOBUFNN_S.v 
	                                          IOBUFNN_S_24.v 
	                                          IOBUFNS.v IOBUFNSN.v 
	                                          IOBUFNSN_24.v 
	                                          IOBUFNSN_F.v 
	                                          IOBUFNSN_F_24.v 
	                                          IOBUFNSN_S.v 
	                                          IOBUFNSN_S_24.v 
	                                          IOBUFNS_24.v 
	                                          IOBUFNS_F.v 
	                                          IOBUFNS_F_24.v 
	                                          IOBUFNS_S.v 
	                                          IOBUFNS_S_24.v 
	                                          IOBUFN_24.v IOBUFN_F.v 
	                                          IOBUFN_F_24.v 
	                                          IOBUFN_S.v 
	                                          IOBUFN_S_24.v IOBUFS.v 
	                                          IOBUFSN.v IOBUFSN_24.v 
	                                          IOBUFSN_F.v 
	                                          IOBUFSN_F_24.v 
	                                          IOBUFSN_S.v 
	                                          IOBUFSN_S_24.v 
	                                          IOBUFS_24.v IOBUFS_F.v 
	                                          IOBUFS_F_24.v 
	                                          IOBUFS_S.v 
	                                          IOBUFS_S_24.v 
	                                          IOBUF_24.v IOBUF_AGP.v 
	                                          IOBUF_CTT.v IOBUF_F.v 
	                                          IOBUF_F_12.v 
	                                          IOBUF_F_16.v 
	                                          IOBUF_F_2.v 
	                                          IOBUF_F_24.v 
	                                          IOBUF_F_4.v 
	                                          IOBUF_F_6.v 
	                                          IOBUF_F_8.v 
	                                          IOBUF_GTL.v 
	                                          IOBUF_GTLP.v 
	                                          IOBUF_GTLP_DCI.v 
	                                          IOBUF_GTL_DCI.v 
	                                          IOBUF_HSTL_I.v 
	                                          IOBUF_HSTL_II.v 
	                                          IOBUF_HSTL_III.v 
	                                          IOBUF_HSTL_II_DCI.v 
	                                          IOBUF_HSTL_IV.v 
	                                          IOBUF_HSTL_IV_DCI.v 
	                                          IOBUF_LVCMOS15.v 
	                                          IOBUF_LVCMOS15_F_12.v 
	                                          IOBUF_LVCMOS15_F_16.v 
	                                          IOBUF_LVCMOS15_F_2.v 
	                                          IOBUF_LVCMOS15_F_4.v 
	                                          IOBUF_LVCMOS15_F_6.v 
	                                          IOBUF_LVCMOS15_F_8.v 
	                                          IOBUF_LVCMOS15_S_12.v 
	                                          IOBUF_LVCMOS15_S_16.v 
	                                          IOBUF_LVCMOS15_S_2.v 
	                                          IOBUF_LVCMOS15_S_4.v 
	                                          IOBUF_LVCMOS15_S_6.v 
	                                          IOBUF_LVCMOS15_S_8.v 
	                                          IOBUF_LVCMOS18.v 
	                                          IOBUF_LVCMOS18_F_12.v 
	                                          IOBUF_LVCMOS18_F_16.v 
	                                          IOBUF_LVCMOS18_F_2.v 
	                                          IOBUF_LVCMOS18_F_4.v 
	                                          IOBUF_LVCMOS18_F_6.v 
	                                          IOBUF_LVCMOS18_F_8.v 
	                                          IOBUF_LVCMOS18_S_12.v 
	                                          IOBUF_LVCMOS18_S_16.v 
	                                          IOBUF_LVCMOS18_S_2.v 
	                                          IOBUF_LVCMOS18_S_4.v 
	                                          IOBUF_LVCMOS18_S_6.v 
	                                          IOBUF_LVCMOS18_S_8.v 
	                                          IOBUF_LVCMOS2.v 
	                                          IOBUF_LVCMOS25.v 
	                                          IOBUF_LVCMOS25_F_12.v 
	                                          IOBUF_LVCMOS25_F_16.v 
	                                          IOBUF_LVCMOS25_F_2.v 
	                                          IOBUF_LVCMOS25_F_24.v 
	                                          IOBUF_LVCMOS25_F_4.v 
	                                          IOBUF_LVCMOS25_F_6.v 
	                                          IOBUF_LVCMOS25_F_8.v 
	                                          IOBUF_LVCMOS25_S_12.v 
	                                          IOBUF_LVCMOS25_S_16.v 
	                                          IOBUF_LVCMOS25_S_2.v 
	                                          IOBUF_LVCMOS25_S_24.v 
	                                          IOBUF_LVCMOS25_S_4.v 
	                                          IOBUF_LVCMOS25_S_6.v 
	                                          IOBUF_LVCMOS25_S_8.v 
	                                          IOBUF_LVCMOS33.v 
	                                          IOBUF_LVCMOS33_F_12.v 
	                                          IOBUF_LVCMOS33_F_16.v 
	                                          IOBUF_LVCMOS33_F_2.v 
	                                          IOBUF_LVCMOS33_F_24.v 
	                                          IOBUF_LVCMOS33_F_4.v 
	                                          IOBUF_LVCMOS33_F_6.v 
	                                          IOBUF_LVCMOS33_F_8.v 
	                                          IOBUF_LVCMOS33_S_12.v 
	                                          IOBUF_LVCMOS33_S_16.v 
	                                          IOBUF_LVCMOS33_S_2.v 
	                                          IOBUF_LVCMOS33_S_24.v 
	                                          IOBUF_LVCMOS33_S_4.v 
	                                          IOBUF_LVCMOS33_S_6.v 
	                                          IOBUF_LVCMOS33_S_8.v 
	                                          IOBUF_LVDCI_15.v 
	                                          IOBUF_LVDCI_18.v 
	                                          IOBUF_LVDCI_25.v 
	                                          IOBUF_LVDCI_33.v 
	                                          IOBUF_LVDCI_DV2_15.v 
	                                          IOBUF_LVDCI_DV2_18.v 
	                                          IOBUF_LVDCI_DV2_25.v 
	                                          IOBUF_LVDCI_DV2_33.v 
	                                          IOBUF_LVDS.v 
	                                          IOBUF_LVPECL.v 
	                                          IOBUF_LVTTL.v 
	                                          IOBUF_LVTTL_F_12.v 
	                                          IOBUF_LVTTL_F_16.v 
	                                          IOBUF_LVTTL_F_2.v 
	                                          IOBUF_LVTTL_F_24.v 
	                                          IOBUF_LVTTL_F_4.v 
	                                          IOBUF_LVTTL_F_6.v 
	                                          IOBUF_LVTTL_F_8.v 
	                                          IOBUF_LVTTL_S_12.v 
	                                          IOBUF_LVTTL_S_16.v 
	                                          IOBUF_LVTTL_S_2.v 
	                                          IOBUF_LVTTL_S_24.v 
	                                          IOBUF_LVTTL_S_4.v 
	                                          IOBUF_LVTTL_S_6.v 
	                                          IOBUF_LVTTL_S_8.v 
	                                          IOBUF_N.v IOBUF_N_24.v 
	                                          IOBUF_N_F.v 
	                                          IOBUF_N_F_24.v 
	                                          IOBUF_N_S.v 
	                                          IOBUF_N_S_24.v 
	                                          IOBUF_PCI33_3.v 
	                                          IOBUF_PCI33_5.v 
	                                          IOBUF_PCI66_3.v 
	                                          IOBUF_PCIX.v 
	                                          IOBUF_PCIX66_3.v 
	                                          IOBUF_S.v 
	                                          IOBUF_SSTL2_I.v 
	                                          IOBUF_SSTL2_II.v 
	                                          IOBUF_SSTL2_II_DCI.v 
	                                          IOBUF_SSTL3_I.v 
	                                          IOBUF_SSTL3_II.v 
	                                          IOBUF_SSTL3_II_DCI.v 
	                                          IOBUF_S_12.v 
	                                          IOBUF_S_16.v 
	                                          IOBUF_S_2.v 
	                                          IOBUF_S_24.v 
	                                          IOBUF_S_4.v 
	                                          IOBUF_S_6.v 
	                                          IOBUF_S_8.v KEEPER.v 
	                                          LD.v LDC.v LDCE.v 
	                                          LDCE_1.v LDCP.v 
	                                          LDCPE.v LDCPE_1.v 
	                                          LDCP_1.v LDC_1.v LDE.v 
	                                          LDE_1.v LDP.v LDPE.v 
	                                          LDPE_1.v LDP_1.v 
	                                          LD_1.v LUT1.v LUT1_D.v 
	                                          LUT1_L.v LUT2.v 
	                                          LUT2_D.v LUT2_L.v 
	                                          LUT3.v LUT3_D.v 
	                                          LUT3_L.v LUT4.v 
	                                          LUT4_D.v LUT4_L.v 
	                                          MD0.v MD1.v MD2.v 
	                                          MULT18X18.v MULT_AND.v 
	                                          MUXCY.v MUXCY_D.v 
	                                          MUXCY_L.v MUXF5.v 
	                                          MUXF5_D.v MUXF5_L.v 
	                                          MUXF6.v MUXF6_D.v 
	                                          MUXF6_L.v MUXF7.v 
	                                          MUXF7_D.v MUXF7_L.v 
	                                          MUXF8.v MUXF8_D.v 
	                                          MUXF8_L.v NAND12.v 
	                                          NAND16.v NAND2.v 
	                                          NAND2B1.v NAND2B2.v 
	                                          NAND3.v NAND3B1.v 
	                                          NAND3B2.v NAND3B3.v 
	                                          NAND4.v NAND4B1.v 
	                                          NAND4B2.v NAND4B3.v 
	                                          NAND4B4.v NAND5.v 
	                                          NAND5B1.v NAND5B2.v 
	                                          NAND5B3.v NAND5B4.v 
	                                          NAND5B5.v NOR12.v 
	                                          NOR16.v NOR2.v 
	                                          NOR2B1.v NOR2B2.v 
	                                          NOR3.v NOR3B1.v 
	                                          NOR3B2.v NOR3B3.v 
	                                          NOR4.v NOR4B1.v 
	                                          NOR4B2.v NOR4B3.v 
	                                          NOR4B4.v NOR5.v 
	                                          NOR5B1.v NOR5B2.v 
	                                          NOR5B3.v NOR5B4.v 
	                                          NOR5B5.v N_FLAG.v 
	                                          OAND2.v OBUF.v OBUFD.v 
	                                          OBUFDN.v OBUFDN_24.v 
	                                          OBUFDN_F.v 
	                                          OBUFDN_F_24.v 
	                                          OBUFDN_S.v 
	                                          OBUFDN_S_24.v OBUFDS.v 
	                                          OBUFDS_LDT_25.v 
	                                          OBUFDS_LVDSEXT_25.v 
	                                          OBUFDS_LVDSEXT_33.v 
	                                          OBUFDS_LVDS_25.v 
	                                          OBUFDS_LVDS_33.v 
	                                          OBUFDS_ULVDS_25.v 
	                                          OBUFD_24.v OBUFD_F.v 
	                                          OBUFD_F_24.v OBUFD_S.v 
	                                          OBUFD_S_24.v OBUFE.v 
	                                          OBUFEN.v OBUFEN_24.v 
	                                          OBUFEN_F.v 
	                                          OBUFEN_F_24.v 
	                                          OBUFEN_S.v 
	                                          OBUFEN_S_24.v 
	                                          OBUFE_24.v OBUFE_F.v 
	                                          OBUFE_F_24.v OBUFE_S.v 
	                                          OBUFE_S_24.v OBUFN.v 
	                                          OBUFN_24.v OBUFN_F.v 
	                                          OBUFN_F_24.v OBUFN_S.v 
	                                          OBUFN_S_24.v OBUFS.v 
	                                          OBUFSN.v OBUFSN_24.v 
	                                          OBUFSN_F.v 
	                                          OBUFSN_F_24.v 
	                                          OBUFSN_S.v 
	                                          OBUFSN_S_24.v 
	                                          OBUFS_24.v OBUFS_F.v 
	                                          OBUFS_F_24.v OBUFS_S.v 
	                                          OBUFS_S_24.v OBUFT.v 
	                                          OBUFTDS.v 
	                                          OBUFTDS_LDT_25.v 
	                                          OBUFTDS_LVDSEXT_25.v 
	                                          OBUFTDS_LVDSEXT_33.v 
	                                          OBUFTDS_LVDS_25.v 
	                                          OBUFTDS_LVDS_33.v 
	                                          OBUFTDS_ULVDS_25.v 
	                                          OBUFTN.v OBUFTN_24.v 
	                                          OBUFTN_F.v 
	                                          OBUFTN_F_24.v 
	                                          OBUFTN_S.v 
	                                          OBUFTN_S_24.v 
	                                          OBUFT_24.v OBUFT_AGP.v 
	                                          OBUFT_CTT.v OBUFT_F.v 
	                                          OBUFT_F_12.v 
	                                          OBUFT_F_16.v 
	                                          OBUFT_F_2.v 
	                                          OBUFT_F_24.v 
	                                          OBUFT_F_4.v 
	                                          OBUFT_F_6.v 
	                                          OBUFT_F_8.v 
	                                          OBUFT_GTL.v 
	                                          OBUFT_GTLP.v 
	                                          OBUFT_GTLP_DCI.v 
	                                          OBUFT_GTL_DCI.v 
	                                          OBUFT_HSTL_I.v 
	                                          OBUFT_HSTL_II.v 
	                                          OBUFT_HSTL_III.v 
	                                          OBUFT_HSTL_III_DCI.v 
	                                          OBUFT_HSTL_II_DCI.v 
	                                          OBUFT_HSTL_IV.v 
	                                          OBUFT_HSTL_IV_DCI.v 
	                                          OBUFT_HSTL_I_DCI.v 
	                                          OBUFT_LVCMOS15.v 
	                                          OBUFT_LVCMOS15_F_12.v 
	                                          OBUFT_LVCMOS15_F_16.v 
	                                          OBUFT_LVCMOS15_F_2.v 
	                                          OBUFT_LVCMOS15_F_4.v 
	                                          OBUFT_LVCMOS15_F_6.v 
	                                          OBUFT_LVCMOS15_F_8.v 
	                                          OBUFT_LVCMOS15_S_12.v 
	                                          OBUFT_LVCMOS15_S_16.v 
	                                          OBUFT_LVCMOS15_S_2.v 
	                                          OBUFT_LVCMOS15_S_4.v 
	                                          OBUFT_LVCMOS15_S_6.v 
	                                          OBUFT_LVCMOS15_S_8.v 
	                                          OBUFT_LVCMOS18.v 
	                                          OBUFT_LVCMOS18_F_12.v 
	                                          OBUFT_LVCMOS18_F_16.v 
	                                          OBUFT_LVCMOS18_F_2.v 
	                                          OBUFT_LVCMOS18_F_4.v 
	                                          OBUFT_LVCMOS18_F_6.v 
	                                          OBUFT_LVCMOS18_F_8.v 
	                                          OBUFT_LVCMOS18_S_12.v 
	                                          OBUFT_LVCMOS18_S_16.v 
	                                          OBUFT_LVCMOS18_S_2.v 
	                                          OBUFT_LVCMOS18_S_4.v 
	                                          OBUFT_LVCMOS18_S_6.v 
	                                          OBUFT_LVCMOS18_S_8.v 
	                                          OBUFT_LVCMOS2.v 
	                                          OBUFT_LVCMOS25.v 
	                                          OBUFT_LVCMOS25_F_12.v 
	                                          OBUFT_LVCMOS25_F_16.v 
	                                          OBUFT_LVCMOS25_F_2.v 
	                                          OBUFT_LVCMOS25_F_24.v 
	                                          OBUFT_LVCMOS25_F_4.v 
	                                          OBUFT_LVCMOS25_F_6.v 
	                                          OBUFT_LVCMOS25_F_8.v 
	                                          OBUFT_LVCMOS25_S_12.v 
	                                          OBUFT_LVCMOS25_S_16.v 
	                                          OBUFT_LVCMOS25_S_2.v 
	                                          OBUFT_LVCMOS25_S_24.v 
	                                          OBUFT_LVCMOS25_S_4.v 
	                                          OBUFT_LVCMOS25_S_6.v 
	                                          OBUFT_LVCMOS25_S_8.v 
	                                          OBUFT_LVCMOS33.v 
	                                          OBUFT_LVCMOS33_F_12.v 
	                                          OBUFT_LVCMOS33_F_16.v 
	                                          OBUFT_LVCMOS33_F_2.v 
	                                          OBUFT_LVCMOS33_F_24.v 
	                                          OBUFT_LVCMOS33_F_4.v 
	                                          OBUFT_LVCMOS33_F_6.v 
	                                          OBUFT_LVCMOS33_F_8.v 
	                                          OBUFT_LVCMOS33_S_12.v 
	                                          OBUFT_LVCMOS33_S_16.v 
	                                          OBUFT_LVCMOS33_S_2.v 
	                                          OBUFT_LVCMOS33_S_24.v 
	                                          OBUFT_LVCMOS33_S_4.v 
	                                          OBUFT_LVCMOS33_S_6.v 
	                                          OBUFT_LVCMOS33_S_8.v 
	                                          OBUFT_LVDCI_15.v 
	                                          OBUFT_LVDCI_18.v 
	                                          OBUFT_LVDCI_25.v 
	                                          OBUFT_LVDCI_33.v 
	                                          OBUFT_LVDCI_DV2_15.v 
	                                          OBUFT_LVDCI_DV2_18.v 
	                                          OBUFT_LVDCI_DV2_25.v 
	                                          OBUFT_LVDCI_DV2_33.v 
	                                          OBUFT_LVDS.v 
	                                          OBUFT_LVPECL.v 
	                                          OBUFT_LVTTL.v 
	                                          OBUFT_LVTTL_F_12.v 
	                                          OBUFT_LVTTL_F_16.v 
	                                          OBUFT_LVTTL_F_2.v 
	                                          OBUFT_LVTTL_F_24.v 
	                                          OBUFT_LVTTL_F_4.v 
	                                          OBUFT_LVTTL_F_6.v 
	                                          OBUFT_LVTTL_F_8.v 
	                                          OBUFT_LVTTL_S_12.v 
	                                          OBUFT_LVTTL_S_16.v 
	                                          OBUFT_LVTTL_S_2.v 
	                                          OBUFT_LVTTL_S_24.v 
	                                          OBUFT_LVTTL_S_4.v 
	                                          OBUFT_LVTTL_S_6.v 
	                                          OBUFT_LVTTL_S_8.v 
	                                          OBUFT_PCI33_3.v 
	                                          OBUFT_PCI33_5.v 
	                                          OBUFT_PCI66_3.v 
	                                          OBUFT_PCIX.v 
	                                          OBUFT_PCIX66_3.v 
	                                          OBUFT_S.v 
	                                          OBUFT_SSTL2_I.v 
	                                          OBUFT_SSTL2_II.v 
	                                          OBUFT_SSTL2_II_DCI.v 
	                                          OBUFT_SSTL2_I_DCI.v 
	                                          OBUFT_SSTL3_I.v 
	                                          OBUFT_SSTL3_II.v 
	                                          OBUFT_SSTL3_II_DCI.v 
	                                          OBUFT_SSTL3_I_DCI.v 
	                                          OBUFT_S_12.v 
	                                          OBUFT_S_16.v 
	                                          OBUFT_S_2.v 
	                                          OBUFT_S_24.v 
	                                          OBUFT_S_4.v 
	                                          OBUFT_S_6.v 
	                                          OBUFT_S_8.v OBUFT_U.v 
	                                          OBUF_24.v OBUF_AGP.v 
	                                          OBUF_CTT.v OBUF_F.v 
	                                          OBUF_F_12.v 
	                                          OBUF_F_16.v OBUF_F_2.v 
	                                          OBUF_F_24.v OBUF_F_4.v 
	                                          OBUF_F_6.v OBUF_F_8.v 
	                                          OBUF_GTL.v OBUF_GTLP.v 
	                                          OBUF_GTLP_DCI.v 
	                                          OBUF_GTL_DCI.v 
	                                          OBUF_HSTL_I.v 
	                                          OBUF_HSTL_II.v 
	                                          OBUF_HSTL_III.v 
	                                          OBUF_HSTL_III_DCI.v 
	                                          OBUF_HSTL_II_DCI.v 
	                                          OBUF_HSTL_IV.v 
	                                          OBUF_HSTL_IV_DCI.v 
	                                          OBUF_HSTL_I_DCI.v 
	                                          OBUF_LVCMOS15.v 
	                                          OBUF_LVCMOS15_F_12.v 
	                                          OBUF_LVCMOS15_F_16.v 
	                                          OBUF_LVCMOS15_F_2.v 
	                                          OBUF_LVCMOS15_F_4.v 
	                                          OBUF_LVCMOS15_F_6.v 
	                                          OBUF_LVCMOS15_F_8.v 
	                                          OBUF_LVCMOS15_S_12.v 
	                                          OBUF_LVCMOS15_S_16.v 
	                                          OBUF_LVCMOS15_S_2.v 
	                                          OBUF_LVCMOS15_S_4.v 
	                                          OBUF_LVCMOS15_S_6.v 
	                                          OBUF_LVCMOS15_S_8.v 
	                                          OBUF_LVCMOS18.v 
	                                          OBUF_LVCMOS18_F_12.v 
	                                          OBUF_LVCMOS18_F_16.v 
	                                          OBUF_LVCMOS18_F_2.v 
	                                          OBUF_LVCMOS18_F_4.v 
	                                          OBUF_LVCMOS18_F_6.v 
	                                          OBUF_LVCMOS18_F_8.v 
	                                          OBUF_LVCMOS18_S_12.v 
	                                          OBUF_LVCMOS18_S_16.v 
	                                          OBUF_LVCMOS18_S_2.v 
	                                          OBUF_LVCMOS18_S_4.v 
	                                          OBUF_LVCMOS18_S_6.v 
	                                          OBUF_LVCMOS18_S_8.v 
	                                          OBUF_LVCMOS2.v 
	                                          OBUF_LVCMOS25.v 
	                                          OBUF_LVCMOS25_F_12.v 
	                                          OBUF_LVCMOS25_F_16.v 
	                                          OBUF_LVCMOS25_F_2.v 
	                                          OBUF_LVCMOS25_F_24.v 
	                                          OBUF_LVCMOS25_F_4.v 
	                                          OBUF_LVCMOS25_F_6.v 
	                                          OBUF_LVCMOS25_F_8.v 
	                                          OBUF_LVCMOS25_S_12.v 
	                                          OBUF_LVCMOS25_S_16.v 
	                                          OBUF_LVCMOS25_S_2.v 
	                                          OBUF_LVCMOS25_S_24.v 
	                                          OBUF_LVCMOS25_S_4.v 
	                                          OBUF_LVCMOS25_S_6.v 
	                                          OBUF_LVCMOS25_S_8.v 
	                                          OBUF_LVCMOS33.v 
	                                          OBUF_LVCMOS33_F_12.v 
	                                          OBUF_LVCMOS33_F_16.v 
	                                          OBUF_LVCMOS33_F_2.v 
	                                          OBUF_LVCMOS33_F_24.v 
	                                          OBUF_LVCMOS33_F_4.v 
	                                          OBUF_LVCMOS33_F_6.v 
	                                          OBUF_LVCMOS33_F_8.v 
	                                          OBUF_LVCMOS33_S_12.v 
	                                          OBUF_LVCMOS33_S_16.v 
	                                          OBUF_LVCMOS33_S_2.v 
	                                          OBUF_LVCMOS33_S_24.v 
	                                          OBUF_LVCMOS33_S_4.v 
	                                          OBUF_LVCMOS33_S_6.v 
	                                          OBUF_LVCMOS33_S_8.v 
	                                          OBUF_LVDCI_15.v 
	                                          OBUF_LVDCI_18.v 
	                                          OBUF_LVDCI_25.v 
	                                          OBUF_LVDCI_33.v 
	                                          OBUF_LVDCI_DV2_15.v 
	                                          OBUF_LVDCI_DV2_18.v 
	                                          OBUF_LVDCI_DV2_25.v 
	                                          OBUF_LVDCI_DV2_33.v 
	                                          OBUF_LVDS.v 
	                                          OBUF_LVPECL.v 
	                                          OBUF_LVTTL.v 
	                                          OBUF_LVTTL_F_12.v 
	                                          OBUF_LVTTL_F_16.v 
	                                          OBUF_LVTTL_F_2.v 
	                                          OBUF_LVTTL_F_24.v 
	                                          OBUF_LVTTL_F_4.v 
	                                          OBUF_LVTTL_F_6.v 
	                                          OBUF_LVTTL_F_8.v 
	                                          OBUF_LVTTL_S_12.v 
	                                          OBUF_LVTTL_S_16.v 
	                                          OBUF_LVTTL_S_2.v 
	                                          OBUF_LVTTL_S_24.v 
	                                          OBUF_LVTTL_S_4.v 
	                                          OBUF_LVTTL_S_6.v 
	                                          OBUF_LVTTL_S_8.v 
	                                          OBUF_PCI33_3.v 
	                                          OBUF_PCI33_5.v 
	                                          OBUF_PCI66_3.v 
	                                          OBUF_PCIX.v 
	                                          OBUF_PCIX66_3.v 
	                                          OBUF_S.v 
	                                          OBUF_SSTL2_I.v 
	                                          OBUF_SSTL2_II.v 
	                                          OBUF_SSTL2_II_DCI.v 
	                                          OBUF_SSTL2_I_DCI.v 
	                                          OBUF_SSTL3_I.v 
	                                          OBUF_SSTL3_II.v 
	                                          OBUF_SSTL3_II_DCI.v 
	                                          OBUF_SSTL3_I_DCI.v 
	                                          OBUF_S_12.v 
	                                          OBUF_S_16.v OBUF_S_2.v 
	                                          OBUF_S_24.v OBUF_S_4.v 
	                                          OBUF_S_6.v OBUF_S_8.v 
	                                          OBUF_U.v OFD.v OFDI.v 
	                                          OFDI_24.v OFDI_F.v 
	                                          OFDI_F_24.v OFDI_S.v 
	                                          OFDI_S_24.v OFDI_U.v 
	                                          OFDT.v OFDTI.v 
	                                          OFDTI_24.v OFDTI_F.v 
	                                          OFDTI_F_24.v OFDTI_S.v 
	                                          OFDTI_S_24.v OFDTI_U.v 
	                                          OFDTX.v OFDTXI.v 
	                                          OFDTXI_24.v OFDTXI_F.v 
	                                          OFDTXI_F_24.v 
	                                          OFDTXI_S.v 
	                                          OFDTXI_S_24.v 
	                                          OFDTXI_U.v OFDTX_24.v 
	                                          OFDTX_F.v OFDTX_F_24.v 
	                                          OFDTX_S.v OFDTX_S_24.v 
	                                          OFDTX_U.v OFDT_24.v 
	                                          OFDT_F.v OFDT_F_24.v 
	                                          OFDT_S.v OFDT_S_24.v 
	                                          OFDT_U.v OFDX.v 
	                                          OFDXI.v OFDXI_24.v 
	                                          OFDXI_F.v OFDXI_F_24.v 
	                                          OFDXI_S.v OFDXI_S_24.v 
	                                          OFDXI_U.v OFDX_24.v 
	                                          OFDX_F.v OFDX_FU.v 
	                                          OFDX_F_24.v OFDX_S.v 
	                                          OFDX_S_24.v OFDX_U.v 
	                                          OFD_24.v OFD_F.v 
	                                          OFD_FU.v OFD_F_24.v 
	                                          OFD_S.v OFD_S_24.v 
	                                          OFD_U.v OMUX2.v 
	                                          ONAND2.v ONOR2.v 
	                                          OOR2.v OR12.v OR16.v 
	                                          OR2.v OR2B1.v OR2B2.v 
	                                          OR3.v OR3B1.v OR3B2.v 
	                                          OR3B3.v OR4.v OR4B1.v 
	                                          OR4B2.v OR4B3.v 
	                                          OR4B4.v OR5.v OR5B1.v 
	                                          OR5B2.v OR5B3.v 
	                                          OR5B4.v OR5B5.v ORCY.v 
	                                          OSC4.v OXNOR2.v 
	                                          OXOR2.v PULLDOWN.v 
	                                          PULLUP.v RAM128X1S.v 
	                                          RAM128X1S_1.v 
	                                          RAM16X1.v RAM16X1D.v 
	                                          RAM16X1D_1.v 
	                                          RAM16X1S.v 
	                                          RAM16X1S_1.v 
	                                          RAM16X2S.v RAM16X4S.v 
	                                          RAM16X8S.v RAM32X1.v 
	                                          RAM32X1D.v 
	                                          RAM32X1D_1.v 
	                                          RAM32X1S.v 
	                                          RAM32X1S_1.v 
	                                          RAM32X2S.v RAM32X4S.v 
	                                          RAM32X8S.v RAM64X1D.v 
	                                          RAM64X1D_1.v 
	                                          RAM64X1S.v 
	                                          RAM64X1S_1.v 
	                                          RAM64X2S.v RAMB16_S1.v 
	                                          RAMB16_S18.v 
	                                          RAMB16_S18_S18.v 
	                                          RAMB16_S18_S36.v 
	                                          RAMB16_S1_S1.v 
	                                          RAMB16_S1_S18.v 
	                                          RAMB16_S1_S2.v 
	                                          RAMB16_S1_S36.v 
	                                          RAMB16_S1_S4.v 
	                                          RAMB16_S1_S9.v 
	                                          RAMB16_S2.v 
	                                          RAMB16_S2_S18.v 
	                                          RAMB16_S2_S2.v 
	                                          RAMB16_S2_S36.v 
	                                          RAMB16_S2_S4.v 
	                                          RAMB16_S2_S9.v 
	                                          RAMB16_S36.v 
	                                          RAMB16_S36_S36.v 
	                                          RAMB16_S4.v 
	                                          RAMB16_S4_S18.v 
	                                          RAMB16_S4_S36.v 
	                                          RAMB16_S4_S4.v 
	                                          RAMB16_S4_S9.v 
	                                          RAMB16_S9.v 
	                                          RAMB16_S9_S18.v 
	                                          RAMB16_S9_S36.v 
	                                          RAMB16_S9_S9.v 
	                                          RAMB4_S1.v RAMB4_S16.v 
	                                          RAMB4_S16_S16.v 
	                                          RAMB4_S1_S1.v 
	                                          RAMB4_S1_S16.v 
	                                          RAMB4_S1_S2.v 
	                                          RAMB4_S1_S4.v 
	                                          RAMB4_S1_S8.v 
	                                          RAMB4_S2.v 
	                                          RAMB4_S2_S16.v 
	                                          RAMB4_S2_S2.v 
	                                          RAMB4_S2_S4.v 
	                                          RAMB4_S2_S8.v 
	                                          RAMB4_S4.v 
	                                          RAMB4_S4_S16.v 
	                                          RAMB4_S4_S4.v 
	                                          RAMB4_S4_S8.v 
	                                          RAMB4_S8.v 
	                                          RAMB4_S8_S16.v 
	                                          RAMB4_S8_S8.v RDBK.v 
	                                          RDCLK.v READBACK.v 
	                                          ROM16X1.v ROM32X1.v 
	                                          SRL16.v SRL16E.v 
	                                          SRL16E_1.v SRL16_1.v 
	                                          SRLC16.v SRLC16E.v 
	                                          SRLC16E_1.v SRLC16_1.v 
	                                          STARTUP.v 
	                                          STARTUP_SPARTAN2.v 
	                                          STARTUP_VIRTEX.v 
	                                          STARTUP_VIRTEX2.v 
	                                          S_FLAG.v TBLOCK.v 
	                                          TCK.v TDI.v TDO.v 
	                                          TIMEGRP.v TIMESPEC.v 
	                                          TMS.v VCC.v WAND1.v 
	                                          WOR2AND.v XNOR2.v 
	                                          XNOR3.v XNOR4.v 
	                                          XNOR5.v XOR2.v XOR3.v 
	                                          XOR4.v XOR5.v XORCY.v 
	                                          XORCY_D.v XORCY_L.v 
	                                          X_FLAG.v 

Log message:
	Added libraries.

--
To unsubscribe from cvs-checkins mailing list please visit http://www.opencores.org/mailinglists.shtml